Звуковая карта "ZXM-GeneralSound" - Обсуждение

Все вопросы, связанные с ресурсом micklab.ru

Moderator: Mick

Postby Mick » Fri, 28.06.2019 13:37:29

Тут внезапно народ активизировался со сборкой, да и вообще проявил интерес к карте. Напомню страница про карту - http://micklab.ru/My%20Soundcard/ZXMGeneralSound.htm

В итоге немного новьеца:

1). Новая прошивка карты - версия 1.02
- Прошивки CPLD микросхемы DD2 EPM7128STC100 версия 01.02 с тактовыми частотами 12МГц, 15МГц и 18МГц - http://micklab.ru/file/zxm_generalsnd/z ... rm0102.rar
- Исходные тексты прошивки CPLD микросхемы DD2 версия 01.02 для MAX+plus II - http://micklab.ru/file/zxm_generalsnd/z ... rc0102.rar

2). Ревизия 01 карты
Тут просили (Shockwav3) добавить цепь раздельного сброса карты, по типу NeoGS. Вот раз отвлекся на эту тему, то думаю дай добавлю.
По сути я не стал ничего выдумывать, а взял кусочек из того же NeoGS. Правда не стал заморачиваться с микросхемой сброса СП42, а по старинке - обычная RC цепочка.

Короче вот картинка

Image

Делать я эту карту не буду сам, но выложу печатку и герберы. Там уж как то сами.

Собственно ссылки на файлы
- Схема электрическая принципиальная ревизии 01 в формате PCAD2002 - http://micklab.ru/file/zxm_generalsnd/zxm_generalsound_sch01.rar
- Печатная плата ревизии 01 в формате PCAD2002 - http://micklab.ru/file/zxm_generalsnd/zxm_generalsound_pcb01.rar
- Электрическая и монтажная схемы, перечень элементов ревизии 01 в формате pdf - http://micklab.ru/file/zxm_generalsnd/zxm_generalsound_01.pdf
- Гербер файлы для производства плат ревизии 01 - http://micklab.ru/file/zxm_generalsnd/zxm_generalsound_gerber01.rar

Собственно когда джампер JP2 замкнут, то сброс идет с компьютера, иначе только внутренний сброс .
P.S. Если кто внезапно захочет производить ее , с вас фото собранной платы и тираж (количество произведенных плат) для статистики.
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Postby Mick » Mon, 04.11.2019 16:12:01

Народ, тут бредовая мысль пришла. А кто нибудь рассматривал применение других процов-контроллеров серии Z80, например типа Z8S18033?
Тут как бы 33МГц частота проца. Придется правда ПЗУ в ОЗУ загонять, чтобы не тормозить сильно. Только остается вопрос, использовали в оригинале недокументированные инструкции.
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Postby Mick » Wed, 26.02.2020 19:35:52

На днях обнаружился досадный баг, которому похоже скоро будет 6 лет. Я по ошибке неправильно указал полярность конденсатора C35 (ох уж эта копипаста) в цепи формирователя напряжения -5VA.
Нужно было + на аналоговую землю. Конечно и так работает, но я думаю алюминиевому электролитическому конденсатору это не аргумент. Так что по возможности перепаяйте его правильно.
Выпустил по этому поводу документик - http://micklab.ru/file/zxm_generalsn...ound_annex.pdf
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Postby Anubis_OD » Sat, 04.04.2020 14:04:35

Mick, Алтеру с таймингом -15 можно ставить? Не критично?
Anubis_OD
 
Posts: 50
Joined: Wed, 22.10.2014 13:25:18

Postby Mick » Sat, 04.04.2020 14:11:54

Anubis_OD wrote:Mick, Алтеру с таймингом -15 можно ставить? Не критично?


Попробуй, я с таймингами не игрался.
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Postby TS-Labs » Sat, 04.04.2020 21:32:08

Mick wrote:А кто нибудь рассматривал применение других процов-контроллеров серии Z80, например типа Z8S18033?

Если для ускорения, то уже проще поставить мелкую фпга с коркой з80 и кешом.
User avatar
TS-Labs
 
Posts: 5398
Joined: Thu, 26.07.2012 01:29:56

Postby Mick » Tue, 07.04.2020 07:02:38

TS-Labs wrote:Если для ускорения, то уже проще поставить мелкую фпга с коркой з80 и кешом.


Это конечно тоже вариант, причем не самый плохой.
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Postby Mick » Wed, 12.05.2021 09:29:09

Тут возник вопрос, чисто теоретический. Есть мысль, что если заменить ЦАПы - вместо 4шт TLC7528CDW поставить 2шт TLC7225CDW. При этом придется вероятнее всего изменить аналоговый выход. Кто нибудь баловался с этими ЦАПами.
Второй вопрос, что если место кучи микросхем статической памяти поставить 1шт DRAM 1Mx16, те же 2Мб. В основном память 60нс, статика в основном 50нс.
Ну и третий вопрос заменить процессор с PLCC форм фактора на TQFP.
Возможно CPLD с EPM7128STC100 придется сменить на EPM7160STC100.
По идее габариты должны уменьшиться.
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Postby TS-Labs » Thu, 13.05.2021 01:31:43

Mick wrote:Второй вопрос, что если место кучи микросхем статической памяти поставить 1шт DRAM 1Mx16, те же 2Мб.

Идея интересная. Нужно рассчитать, будет ли успевать контроллер ДРАМы отдавать з80 данные без торможения. Или тормозить з80.
User avatar
TS-Labs
 
Posts: 5398
Joined: Thu, 26.07.2012 01:29:56

Postby Mick » Thu, 13.05.2021 09:13:17

TS-Labs wrote:Нужно рассчитать, будет ли успевать контроллер ДРАМы отдавать з80 данные без торможения. Или тормозить з80.


Тормозить бы не желательно. Если по даташиту например на микросхему HYB5118160 на самые распространенные 60нс, то полный цикл Read/Write = 110 нс, при tRAS = 60нс и tCAS = 15нс, У 50нс dramины соответственно 90нс, 50нс и 13нс.

У Z80 на 20МГц (50нс) чтение длиться 2 цикла (100нс), то для 50нс памяти прокатывает, а для 60нс вроде как нет.

Вон на али есть память IS41C16105C-50T у ней цикл чтения/запись еще меньше - 84нс
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Postby LessNick » Thu, 13.05.2021 11:20:49

Мне кажется проще взять да и попробовать :) Работает? Отлично! Делаем новую ревизию на современных компонентах. Нет? Ну значит либо разбираемся, если есть желание, либо забиваем ;)
(∩。•o•。)っ.゚☆。・ TS-Conf для PentEvo — это классический Pentagon 128k для тех кто хочет больше!
User avatar
LessNick
грей сашу — сашу грей
 
Posts: 975
Joined: Thu, 26.07.2012 15:00:53
WEBSITE: http://fishbone.untergrund.net/

Postby Mick » Thu, 13.05.2021 11:54:03

LessNick wrote:Делаем новую ревизию на современных компонентах.


Я бы не сказал, что драмина(которая применяется в Эве) современнее статики, скорее даже наоборот. Тут скорее идет речь в уменьшении количества микросхем и габаритов.
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Postby TS-Labs » Thu, 13.05.2021 14:00:34

Добавь еще время задержки на пинах цплд/фпга. Данные с ДРАМ - логика - данные на проц.
User avatar
TS-Labs
 
Posts: 5398
Joined: Thu, 26.07.2012 01:29:56

Postby Mick » Thu, 13.05.2021 14:12:41

TS-Labs wrote:Добавь еще время задержки на пинах цплд/фпга. Данные с ДРАМ - логика - данные на проц.


Я вот в раздумьях. Ведь тут не надо видеобслуживать, т.е. данные по идее можно на шину процессора подцепить.
Из CPLD будут только адреса и управление памятью. WE/, RAS/, CASL/, CASH/ и OE/
Адреса переключаются только в момент обращения к памяти, иначе счетчик регенерации, хотя вроде есть собственный счетчик внутри памяти. Регенерацию когда нет обращения к памяти можно делать только по RAS/
Или я заблуждаюсь?
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Postby TS-Labs » Thu, 13.05.2021 14:20:51

Никогда так не делал, но по идее - можно, любопытно попробовать.
User avatar
TS-Labs
 
Posts: 5398
Joined: Thu, 26.07.2012 01:29:56

Postby Mick » Mon, 17.05.2021 20:04:25

Пока идет обдумывание как вкарячить динамику вместо статики, решил пока сделать промежуточную версию, с новыми ЦАПами.
Напомню, что вместо 4 ЦАПов TLC7528CDW хочу поставить 2 ЦАПа TLC7225CDW. Остальное почти оставил все что было, ну кроме одного дешифратора, который стал не нужным и чуть подчистил неиспользуемые выводы CPLD.

Эскиз платы rev. 02

Image

Ссылка на схему http://micklab.ru/file/zxm_generalsnd/zxm_generalsound_02.pdf
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Postby Mick » Tue, 29.06.2021 19:46:14

Получил платки, ЦАПы и процы, теперь надо собрать все это дело

Image
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Postby Mick » Thu, 08.07.2021 19:36:46

Так собрал и естественно не заработала с первого раза.
Начал разбираться, во первых с 4 шт ОЗУ не показывал искомых 62 страниц. По очереди запаивал, с 3 показывает 46, а с 4 показывает 0.
Думаю, что за фигня, не могут быть битыми все ОЗУ, оказалось что 55нс ПЗУ так работает, после того как поставил 70нс - стало показывать 62 страницы.
Далее, начал смотреть ЦАПы, данные приходят - с выхода ничего. Убрал операционник с одного из ЦАПа, на выходе появился сигнал, копнул по глубже и понял, что текущая обвязка не будет работать на этом ЦАПе, посему, теперь надо подумать как малой кровью сделать красиво.

А так TLC7225 вполне съедобны.

Image

Image
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Postby Mick » Mon, 08.11.2021 13:32:36

Mick wrote:Далее, начал смотреть ЦАПы, данные приходят - с выхода ничего. Убрал операционник с одного из ЦАПа, на выходе появился сигнал, копнул по глубже и понял, что текущая обвязка не будет работать на этом ЦАПе, посему, теперь надо подумать как малой кровью сделать красиво.


Короче пришлось заменить обвязку новых ЦАПов, теперь колхоз такой :)


Image

Итого:
- убрал преобразователь на -5В
- заменил два операционника на один
- количество резисторов и конденсаторов обвязки немного изменилось
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Postby Mick » Mon, 08.11.2021 18:56:05

Итак, по результатам работы на ревизией 02, а именно в этой ревизии применены другие ЦАПы - TLC7225CDW, пришлось также менять выходную часть - родилась ревизия 02.1
Внимание: прошивка от ревизии 01 не подойдет, как и наоборот. Позже выложу ее на сайт к себе
А пока файлы проекта, кто хочет может воспроизвести, я ее делать уже не буду, мне интереснее продолжение - применение микросхем DRAM
Но если, кто сделает, то фото собранной печатки с вас, я ее на сайт к себе заграбастаю.

Image

Схема и монтажка ZXM-GeneralSound rev02.1 в pdf - http://micklab.ru/file/zxm_generalsnd/zxm_generalsound_02a.pdf
Схема ZXM-GeneralSound rev02.1 в P-CAD 2002 - http://micklab.ru/file/zxm_generalsnd/zxm_generalsound_sch02a.rar
Печатная плата ZXM-GeneralSound rev02.1 в P-CAD 2002 - http://micklab.ru/file/zxm_generalsnd/zxm_generalsound_pcb02a.rar
Гербер файлы для производства платы ZXM-GeneralSound rev02.1 - http://micklab.ru/file/zxm_generalsnd/zxm_generalsound_gerber02a.rar
Библиотека P-CAD 2002 - http://micklab.ru/file/zxm_generalsnd/zxm_generalsound_lib.rar
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Postby Mick » Wed, 10.11.2021 18:01:45

Вот ради чего затевалась возня с 02 ревизии. После того как новые ЦАПы были опробованы, теперь дело за внедрением динамической памяти.
Иными словами 4 микросхемы статики заменяем 1 микросхемой динамики. Что из этого выйдет посмотрим.

Новая ревизия имеет собственное название, так как отличается типом памяти.
Кроме этого на всякий случай заложил CPLD потолще (EPM7160STC100), чтобы влезла работа с динамикой.

Карта "ZXM-GeneralSound Dyna"

Эскиз платы

Image

Предварительная схема ZXM-GeneralSound Dyna в pdf - http://micklab.ru/file/zxm_generalsnd/zxm_generalsound_dyna.pdf
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Postby Mick » Tue, 22.02.2022 09:19:50

Собрал таки ревизию 02.1 своей звуковой карты ZXM-GeneralSound. Напомню, что это как бы аналог звуковой карты General Sound для Спектрума, но так сказать на другой элементной базе.
Недавно я уже заморачивался с 02 ревизией и там накосячил в обвязке ЦАП, которые я поменял с целью уменьшения количества корпусов. Было 4шт TLC7528 а стало 2шт TLC7225. Вот собрал и записал несколько образцов. Записывал программой AudaCity на звуковой карте CMI8738. Да, согласен не супер, но для примера пойдет.
Потом записал на прошлой версии платы, что же есть небольшие отличия. На TLC7528 звук чище, на этой присутствует высокочастотный свист, но этот вариант побасистее вроде. Но с другой стороны на обычных компьютерных колонках - свиста не слышно.

Image

Image

Примеры звучания: https://disk.yandex.ru/d/s4WzeEToJ3DT2g

И вот как звучит предыдущая версия карта на ЦАП TLC7528: https://disk.yandex.ru/d/Lb92ra8_X7hSCA
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Postby Mick » Mon, 22.08.2022 19:59:41

Такс, причину свиста нашел, я не тот операционник запаял, двухполярный D4558, вместо LM358. После перепайки все заработало как надо.
Кроме того обнаружилась причина нестабильной работы с плеером Z Player от Евгения Мучкина. Он вернее подсказал возможную причину.
Все дело было в недостаточной емкости C6 в задающем генераторе 12МГц. После увеличения емкости до 150пф, карта стала стабильнее работать.

Ну и по просьбе Евгения сделал пару косметических правок. В итоге ревизия 02.2
А именно, убраны резисторы R28...R31, которые оказались не нужны, так как имели сопротивление 0 Ом (это я изначально так сказать перебздел).
И поменял паттерн ОЗУшки, была широкая SO32 (525mil), стала узкая (32-TSOP2-400F), для микросхем KX4008C1F-VB55
По идее должны налезать и старые широкие.

Как обычно, кто соберет, с того и фото карты для моего сайта

Картинка

Image



Схема и монтажка ZXM-GeneralSound rev02.2 в pdf - http://micklab.ru/file/zxm_generalsnd/zxm_generalsound_02b.pdf
Схема ZXM-GeneralSound rev02.2 в P-CAD 2002 - http://micklab.ru/file/zxm_generalsnd/zxm_generalsound_sch02b.rar
Печатная плата ZXM-GeneralSound rev02.2 в P-CAD 2002 - http://micklab.ru/file/zxm_generalsnd/zxm_generalsound_pcb02b.rar
Гербер файлы для производства платы ZXM-GeneralSound rev02.2 - http://micklab.ru/file/zxm_generalsnd/zxm_generalsound_gerber02b.rar
Библиотека P-CAD 2002 - http://micklab.ru/file/zxm_generalsnd/zxm_generalsound_lib.rar

За сим вроде все со статикой.
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00


Return to Mick Laboratory

Who is online

Users browsing this forum: No registered users and 1 guest

x