Видеокарта "ZXM-VideoCard Classic" - Обсуждение

Все вопросы, связанные с ресурсом micklab.ru

Moderator: Mick

Postby Mick » Tue, 09.02.2016 20:37:23

Данная тема предназначена для решения общих вопросов, связанных с видеокартой ZXM-VideoCard Classic, информация о котором размещена на странице http://micklab.ru/ZXMVideoCard.htm
Поскольку эта карта появилась считай последней из всех моих железок, то вопросы по ней еще есть. Так вот тут и обсуждаем их.
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Postby Gektor_rus » Fri, 12.02.2016 18:49:24

Вернемся к нашим баранам :)
О глюке с SD + Видеокарта
...После нескольких безуспешных попыток понять чего же не хватает этой гробаной и толерантной EPM3064, хотя по осцилу 14МГц приходят такие жирные и не просаженные.
Вообщем, когда заканчиваются аргументы, то приходим к радикальным действиям. Я решил последовать совету SoftFelix и пропустить клоки через буфер. Но так сказать чтобы огрести еще больше глюков решил буфернуть как раз вход EPM3064. Короче нашел в закромах КР1533ЛП8 и спаял на проводах жучка в разрыв клоков. Что же скажу это помогло - карта стала определятся и читаться...

Mick, а можно схему включения?
Gektor_rus
 
Posts: 13
Joined: Fri, 12.02.2016 18:43:35

Postby Mick » Fri, 12.02.2016 19:00:10

Gektor_rus wrote:Вернемся к нашим баранам


Конечно, пока начал обживаться, а меня тут вопросами уже завалили :)
Вообщем на чем остановился на данный момент.
Ученый Кот предложил идею, попробовать пропустить 14 МГц через внутренние буферы CPLD. Котэ списал меня с ZEK, который писал прошивку SD карточки для Фени, он пообещал поглядеть. Я лично попробовал сделать и сам, только на AHDL, выдрал контроллер SD карты из Зефирки и попытался поэкспериментировать. У меня с буфферами не вышло - эффекта никакого.
Из железных экспериментов хочу попробовать еще на 74LVC1G125 - мелкий тараканчик с 1 элементом от ЛП8.
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Postby ZEK » Fri, 12.02.2016 19:09:11

я ква не поставил, ква 13.1 не гармонирует с красотами Win10!
а серьезно, я VHDL не шарю, там наверное какие то либы подключать надо или еще что, хз, прошивку карты я не писал, только пины под чип расставил ну и буферов понаставил, что бы хоть немного синхронности добавить, да и то через жопу вставил
KOE сказал заработает, значит заработает. Он кандидат наук, а не радиолюбитель. (c) alone
User avatar
ZEK
 
Posts: 174
Joined: Mon, 10.06.2013 13:51:07

Postby Mick » Fri, 12.02.2016 19:11:42

Ну значит, завтра попробую тогда на 74LVC1G125 буфернуть на обратной стороне Фени. :)
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Postby TS-Labs » Fri, 12.02.2016 19:19:57

Mick wrote:Ученый Кот предложил идею, попробовать пропустить 14 МГц через внутренние буферы CPLD

Зачем? Чтобы внести задержку 15нс? Других целей не вижу.
User avatar
TS-Labs
 
Posts: 5398
Joined: Thu, 26.07.2012 01:29:56

Postby TS-Labs » Fri, 12.02.2016 19:23:19

Mick, ты слушай, слушай кота. Плохо не то, что он понятия не имеет он том как устроена твоя цплд, хуже что он УВЕРЕН что лучше всех знает, как она устроена. :ohno:
User avatar
TS-Labs
 
Posts: 5398
Joined: Thu, 26.07.2012 01:29:56

Postby ZEK » Fri, 12.02.2016 19:23:47

15нс это pin to pin, lcell меньше задержки дают, в "справочном листке" по вите, написано сколько
KOE сказал заработает, значит заработает. Он кандидат наук, а не радиолюбитель. (c) alone
User avatar
ZEK
 
Posts: 174
Joined: Mon, 10.06.2013 13:51:07

Postby TS-Labs » Fri, 12.02.2016 19:27:01

Да пофиг скока, ну 7нс. Смысл-то в чем.
User avatar
TS-Labs
 
Posts: 5398
Joined: Thu, 26.07.2012 01:29:56

Postby ZEK » Fri, 12.02.2016 19:31:45

там тема такая, если буфернуть клок то все работает, короче фазу клока подвинуть надо
KOE сказал заработает, значит заработает. Он кандидат наук, а не радиолюбитель. (c) alone
User avatar
ZEK
 
Posts: 174
Joined: Mon, 10.06.2013 13:51:07

Postby TS-Labs » Fri, 12.02.2016 19:32:43

Ужасы на ночь какие-то. А синхронный дизайн делать не пробовали?..
User avatar
TS-Labs
 
Posts: 5398
Joined: Thu, 26.07.2012 01:29:56

Postby ZEK » Fri, 12.02.2016 19:41:47

ога, переделай феникс в синхронный дизайн
KOE сказал заработает, значит заработает. Он кандидат наук, а не радиолюбитель. (c) alone
User avatar
ZEK
 
Posts: 174
Joined: Mon, 10.06.2013 13:51:07

Postby ZEK » Fri, 12.02.2016 19:43:29

речь про CPLD на плате феникса, синхронность там по возможности впилена, эта синхронность может боком и вылазит кста, но без неё CPLD плющит когда sdcard шлейфе больше 5см что ли
KOE сказал заработает, значит заработает. Он кандидат наук, а не радиолюбитель. (c) alone
User avatar
ZEK
 
Posts: 174
Joined: Mon, 10.06.2013 13:51:07

Postby Mick » Fri, 12.02.2016 20:27:58

TS-Labs wrote:Ужасы на ночь какие-то. А синхронный дизайн делать не пробовали?..

Ты же не в курсе, поэтому опишу ситуацию.

Вообщем на Фениксе выходит на слот сигнал 14МГц, он инверсный относительно того который тактирует синхрогенератор. Так вот на этот клок подцелены клоки меги и CPLDхи EPM3064
Так вот я вставляю видяху, которая тактируется теми же 14Мгц, нагрузкой является один вход 74ACT04. Видяха при этом работает, но SD контроллер (CPLDха) перестает работать.
Опытным путем выявил, что как раз виноват этот сигнал клоков. Если допустим пропустить через буфер на ЛП8 как раз перед входом CPLDхи, то SD карта начинает работать.
Я осцилом смотрел, вроде сигнал не просаживается. Но почему то для CPLDхи становится это критичным.
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Postby TS-Labs » Fri, 12.02.2016 22:33:45

Потому что сдвигается фронт твоего клока. А поскольку в цплд говнокод, все плывет и приехали. О чем и речь.
User avatar
TS-Labs
 
Posts: 5398
Joined: Thu, 26.07.2012 01:29:56

Postby Mick » Sat, 13.02.2016 08:34:10

TS-Labs wrote:Потому что сдвигается фронт твоего клока. А поскольку в цплд говнокод, все плывет и приехали. О чем и речь.

Никто же не спорит, может и говнокод, но другого пока не умеем писать :)

Есть будет желание, взгляни на исходники - они простые, правда на VHDL. Может что подскажешь. - http://micklab.ru/file/zxm_phoenix/zxm_phoenix_sd.rar
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Postby TS-Labs » Fri, 19.02.2016 16:29:11

Вдумчиво почитал паспорт сорец, вроде ниче особенного.
Пересинхрить START это конечно хорошо. Но можно попробовать и WR_EN.
Не думаю, что прям поможет, но попробуй.
Attachments
SPI.rar
(544 Bytes) Downloaded 680 times
User avatar
TS-Labs
 
Posts: 5398
Joined: Thu, 26.07.2012 01:29:56

Postby Mick » Fri, 19.02.2016 18:39:58

TS-Labs wrote:Не думаю, что прям поможет, но попробуй.


А вот зря так не думаешь. Перекомпилил проект с твоим файлом и знаешь начало работать. Не знаю как оно будет дальше, ибо у меня сейчас там ЛП8 стоит, которую я естественно вытащил, а ноги замкнул. Иными словами на соплях и по крайней мере каталог отобразил правильно и даже диск скопировал.
Так что, получилось или не получилось, но тебе большущее веримаческое спасибо.
Расскажи что ты такого там сотворил?
По коду ты получается застробировал сигнал разрешения записи в порт 0x57?
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Postby TS-Labs » Fri, 19.02.2016 18:58:25

Метастабы. Сигнал WR_EN асинхронен по отношению к клоку, а участвует в стейтментах с клоком.
Code: Select all
if CLC'event and CLC = '0' then
  if WR_EN = '1' then
    SHIFT_OUT <= DI;

Вот это компилится в 8 триггеров. К каждому из них подведен клок, WR_EN. Последний идет по обычному (не глобальному) нетворку, а значит, что на разные триггеры он доходит с разной задержкой. С небольшой, но разной. А теперь представь, что WR_EN приходит в твои триггеры одновременно с клоком (ведь мы ж не знаем, откуда он там приходит, может его по модему с Марса в НАСА принимают). Получается, что одни триггеры его увидят до клока (и схавают), а другие его получат после клока. Понимаешь, да.
А теперь блядкат тебе советует сдвинуть клок. Ты двигаешь клок, WR_EN уезжает в сторону (про setup-ы/hold-ы я здесь даже не говорю, слова иностранные такие), но в результате кое-как укладывается в один период клока. Ежу ясно, что на соплях, потому что от любого чиха развалится.
Чтобы подобной хуйни не происходило, WR_EN надо застробировать на клок домена. Чтоб неважно с какой задержкой, но приходил он по расписанию. Это называется синхронный дизайн, детка.
User avatar
TS-Labs
 
Posts: 5398
Joined: Thu, 26.07.2012 01:29:56

Postby Mick » Fri, 19.02.2016 19:16:42

Понятно. Еще раз спасибо.

Вобщем, для владельцев видях и Фениксов перешейте CPLDху на Фениксе - http://micklab.ru/file/zxm_phoenix/zxm_ ... 022016.rar
И не придется ничего резать. Пробуйте и отписывайтесь.
Потом добавлю ссылку на страничку по Фениксу.
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Postby Gektor_rus » Wed, 24.02.2016 13:41:01

Прошился
Вобщем, для владельцев видях и Фениксов перешейте CPLDху на Фениксе - http://micklab.ru/file/zxm_phoenix/zxm_ ... 022016.rar

Не заработала SD. Мало того с подключенной видеокартой Феня перестала работать в турбо режиме - сбросы в 48К.
Ревизия 05.1, 2024, HDD, FDD, SD, ZXM-VideoCard Classic, ZXM-SoundCard Extreme
Gektor_rus
 
Posts: 13
Joined: Fri, 12.02.2016 18:43:35

Postby TS-Labs » Wed, 24.02.2016 18:22:14

Надо пройтись по остальным хдл-ам где они там есть. Видяха, звук, на мамке есть чо?
User avatar
TS-Labs
 
Posts: 5398
Joined: Thu, 26.07.2012 01:29:56

Postby Mick » Wed, 24.02.2016 18:43:38

TS-Labs wrote:Надо пройтись по остальным хдл-ам где они там есть. Видяха, звук, на мамке есть чо?


На основной плате только вот одна CPLDха. На видяхе и звуковухе свои.
Я думаю тут надо по порядку спрашивать.
Когда турба работает и когда перестает работать. В Фени столько микрух, что в принципе он могет и сам уже не тянуть турбу при доп нагрузке.
Надо мне тоже достать свою 05 ревизию и попробовать.
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Postby Gektor_rus » Wed, 24.02.2016 19:33:28

Mick wrote:Когда турба работает и когда перестает работать.

До перепрошивки турба работала со всем набортным, теперь только без видяхи. И SD тоже без видяхи по-прежнему работет.
Gektor_rus
 
Posts: 13
Joined: Fri, 12.02.2016 18:43:35

Postby Mick » Thu, 25.02.2016 14:02:05

Gektor_rus wrote:До перепрошивки турба работала со всем набортным, теперь только без видяхи.


Тоесть, до перепрошивки в турбе работало вместе с видяхой?
User avatar
Mick
 
Posts: 1159
Joined: Thu, 19.06.2014 11:25:00

Next

Return to Mick Laboratory

Who is online

Users browsing this forum: No registered users and 1 guest

x